Cybernetics And Systems Analysis logo
Інформація редакції Аннотації статей Автори Архів
Кібернетика та Системний Аналіз
Міжнародний Науково-Теоретичний Журнал
-->

УДК 004.274
О.О. Баркалов, Л.О. Тітаренко, А.В. Баєв, О.В. Матвієнко

СПІЛЬНЕ ВИКОРИСТАННЯ МЕТОДІВ СТРУКТУРНОЇ ДЕКОМПОЗИЦІЇ
ДЛЯ ОПТИМІЗАЦІЇ СХЕМИ МІКРОПРОГРАМНОГО АВТОМАТА МУРА

Анотація. Запропоновано метод оптимізації апаратурних витрат в схемі ав-томата Мура, що реалізується в базисі FPGA. Метод ґрунтується на одно-часному використанні заміни входів і перетворення кодів станів у коди класів псевдоеквівалентних станів. Такий підхід призводить до трирівневої схеми автомата. Наведено приклад синтезу автомата Мура з використанням запропонованого методу і виконано аналіз позитивних і негативних його характеристик. Дослідження на базі стандартних автоматів показали, що за-пропонований метод дає змогу зменшити апаратурні витрати і споживану потужність із незначною втратою швидкодії.

Ключові слова: автомат Мура, синтез, FPGA, LUT, структурна декомпо-зиція.



ПОВНИЙ ТЕКСТ

Баркалов Александр Александрович,
доктор техн. наук, профессор Университета Зеленогурского (Польша); профессор Донецкого национального университета имени Василия Стуса, Винница, A.Barkalov@iie.uz.zgora.pl

Титаренко Лариса Александровна,
доктор техн. наук, профессор Университета Зеленогурского (Польша); профессор Харьковского национального университета радиоэлектроники, L.Titarenko@iie.uz.zgora.pl

Баев Артем Викторович,
кандидат физ. мат. наук, исполняющий обязанности декана Донецкого национального университета имени Василия Стуса; фирма Peoly, Винница, a.baev@donnu.edu.ua

Матвиенко Александр Владимирович,
научный сотрудник Института кибернетики им. В.М. Глушкова НАН Украины, Киев,
avmatv@ukr.net


СПИСОК ЛІТЕРАТУРИ

  1. Baranov S. Logic synthesis for control automata. Dordrecht: Kluwer Academic Publishers, 1994. 312 p.

  2. Sklyarov V., Skliarova I., Barkalov A., Titarenko L. Synthesis and optimization of FPGA-based systems. Berlin: Springer, 2014. 432 p.

  3. Barkalov A., Titarenko L., Mazurkiewicz M. Foundations of еmbedded systems. Springer Nature Switzerland, 2019. 180 р.

  4. Marwedel P. Embedded systemdesign: Embedded systems, foundations of cyber-physical systems and the Internet of things. Berlin: Springer, 2018. 423 p.

  5. Czerwinski R., Kania D. Finite state machines logic synthesis for complex programmable logic devices. Berlin: Springer, 2013. 172 p.

  6. DeMicheli G. Synthesis and optimization of digital circuits. New York: McGraw-Hill, 1994. 576 p.

  7. Баркалов А.А., Титаренко Л.А., Баев А.В., Матвиенко А.В. Смешанное кодирование наборов микроопераций в микропрограммном автомате. Кибернетика и системный анализ. 2020. Т. 56, № 3. C. 3–16.

  8. Соловьев В.В. Проектирование цифровых схем на основе программируемых логических интегральных схем. Москва: Горячая линия — ТЕЛЕКОМ, 2001. 636 с.

  9. Skliarova I., Sklyarov V., Sudnitson A. Design of FPGA-based circuits using hierarchical finite state machines. Tallinn: TUT Press, 2012. 240 p.

  10. Machado L., Cjrtadella J. Support - reducing decomposition for FPGA mapping. IEEE Transactions on Computer — Aided Design of Integrated Circuits and Systems. 2020. Vol. 39, N 1. P. 213–224.

  11. Barkalov A., Titarenko L. Logic synthesis for FSM-based control units. Berlin: Springer, 2009. 233 p.

  12. Grout I. Digital systems design with FPGAs and CPLDs. Amsterdam: Elsevier, 2008. 784 p.

  13. Barkalov A., Titarenko L., Mielcatek K., Chmielewski S. Logic synthesis for FPGA-based control units: Structural decomposition in Logic design. Berlin: Springer, 2020. 247 p.

  14. Грушницкий Р.И., Мурсаев А.Х., Угрюмов Е.П. Проектирование систем с использованием микросхем программируемой логики. СПб: БХВ-Петербург, 2002. 608 с.

  15. Maxfield C. The design warrior’s guide to FPGAs. Orlando: Academic Press, 2004. 542 p.

  16. Баркалов А.А., Титаренко Л.А. Преобразование кодов в композиционных микропрограммных устройств управления. Кибернетика и системный анализ. 2011. № 5. C. 107–118.

  17. Kubica M., Kania D. Area-oriented technology mapping for LUT-based logic blocks. Int. Journal of Applied Mathematics and Computer Science. 2017. Vol. 27, N 1. P. 207–222.

  18. Kolopienczyk M., Titarenko L., Barkalov A. Design of EMB-based Moore FSMs. Journal of Circuits, Systems and Computers. 2017. Vol. 21, N 7. P. 1–23.

  19. Tiwari A., Tomko K. Saving power by mapping finite state machines into embedded memory blocks in FPGAs. Proc. Design, Automation and Test in Europe Conference and Exhibition (Paris, France, 6–20 Feb. 2004). 2004. Vol. 2. P. 916–921.

  20. White paper FPGA architecture. URL: www.altera.com.

  21. UG473 (v1.14) July 3, 2019. URL: www.xilinx.com.

  22. Rawski M., Tomaszewicz P., Borowski G., Luba T. Logic synthesis method of digital circuits designed for implementation with embedded memory blocks on FPGAs. Design of Digital Systems and Devises. Adamski M., Barkalov A., Wegrzyn M. (Eds.). Lecture Notes in Electrical Engineering. Vol. 79. Berlin: Springer, 2011. P. 121–144.

  23. Rawski M., Selvaraj H., Luba T. An application of functional decomposition in ROM-based FSM implementation in FPGA devices. Journal of System Architecture. 2005. Vol. 51, Iss. 6–7. P. 424–434.

  24. Nowicka M., Luba T., Rawski M. FPGA-based decomposition of boolean functions: Algorithms and implementations. Proc. of the 6th International Conference on Advanced Computer Systems (Szczecin, 1999). P. 502–509.

  25. Barkalov A., Titarenko L., Chmielewski S. Mixed encoding of collections of output variables for LUT-based FSMs. Journal of Circuits, Systems and Computers. 2019. Vol. 28, N 8. P. 1–21.

  26. Garcia-Vargas I., Senhadji-Navarro R., JimБnez-Moreno G., Civit-Balcells A., Guerra-Gutierrezz P. ROM-based finite state machines implementation in low cost FPGAs. IEEE Intern. Simp. on Industrial Electronics (ISIE’07) (Vigo, 2007). 2007. P. 2342–2347.

  27. Garcia-Vargas L., Senhaji-Navarro R. Finite state machines with input multiplexing: A performance study. IEEE Transactions on CAD of Integrated Circuits and Systems. 2015. Vol. 34, Iss. 5. P. 867–871.

  28. Баркалов А.А., Титаренко Л.А., Ефименко К.Н. Оптимизация схем композиционных микропрограммных устройств управления. Кибернетика и системный анализ. 2011. № 1. C. 179–188.

  29. ABC System (n.d.). URL: https://people.eecs.berkeley.edu/~alanmi/abc/. Accessed: January, 2020.

  30. Sentowich E.M., Singh K.J., Lavango L., Moon C., Murgai R., Saldanha A., Savoj H., Stephan P.R., Bryton R.K., Sanjiovanni-Vincentelli A. SIS: A system for sequential circuit synthesis. Technical Report. Berkely: University of California, 1992.

  31. Michalski T., Kokosinski Z. Functional decomposition of combionational logic circuits with PKmin, Technical Transactions. Electrical Engeneering = Czasopismo Technicze. Elektrotechnika (Iss 2-E). 2016. P. 191–202.

  32. DEMAIN. URL: www.zpt.tele.pw.edu.pl/oprogramowanie/demain.html.

  33. Vivado (2020). URL: https://www.xilinx.com/design sub tools/vivado.html. Accessed January, 2020.

  34. QuartusII (2020). URL: https://www.intel.com/content/www/us/en/programmable/downloads/software/ quartus-ii-we/121.html. Accessed January, 2020.

  35. Yang S. Logic synthesis and optimization benchmarks user guide. Version 3.0. Techn. Rep. Microelectronics Center of North Carolina, 1991. 43 p.

  36. Xilinx (2020). Virtex-5 Family Overview, PDF, Xilinx Corporation. URL: https://www.xilinx.com/ support/documentation/data sub sheets/ds100.pdf/.

  37. Palagin A.V., Opanasenko V.N., Kryvyi S.L. Resource and energy optimization oriented development of FPGA-based adaptive logical networks for classification problem. Green IT Engineering: Components, Networks and Systems Implementation. 2017. Vol. 105. P. 195–218. DOI: 10.1007 978-3-319-55595-9_10.

  38. Opanasenko V.N., Kryvyi S.L. Synthesis of neural-like networks on the basis of conversion of cyclic Hamming codes. Cybernetics and Systems Analysis. 2017. Vol. 53, N 4. P. 627–635. https://doi.org/10.1007/s10559-017-9965-z.




© 2021 Kibernetika.org. All rights reserved.